八亿电脑网
游戏盒子
当前位置: 首页 > 八亿电脑 > 电脑知识 >

FinFET芯片是什么?FinFET芯片怎么样

时间:2014-12-26 14:56来源:Win10 作者:Win10 点击:
游戏盒子

大家都在谈论FinFET——可以说,这是MOSFET自1960年商用化以来晶体管最大的变革。几乎每个人——除了仍然热心于全耗尽绝缘体硅薄膜 (FDSOI)的人,都认为20 nm节点以后,FinFET将成为SoC的未来。但是对于要使用这些SoC的系统开发人员而言,其未来会怎样呢?回答这一问题最好的方法应该是说清楚FinFET对于模拟和数字电路设计人员以及SoC设计人员究竟意味着什么。从这些信息中,我们可以推断出FinFET在系统级意味着什么。

FinFET有什么不同?

关于FinFET及其结构  理论的讨论已经有很多了,这里我们不再重复这些讨论。从电路设计人员的角度看,我们更关心FinFET究竟与平面MOSFET有什么不同。关于这一问题,今年的设计自动化大会(DAC)技术讨论专题为模拟设计人员开辟了新思路。

“采用FinFET进行模拟设计”而不是调侃的说“上帝一定疯了”,这代表了四个专家的观点:代工线代表TSMC的Eric Soenen,Globalfoundries的Richard Trihy、工具专家Synopsys的Navraj Nandra,以及设计经理Freescale的Scott Herrin。讨论集中在新晶体管的电气特性上。

在赞成一方,Herrin指出,FinFET能够以很低的亚阈值泄漏电流实现高增益。

Nandra补充说,“FinFET固有增益很高,但是跨导(gm)实际上很低,和频率(ft)一样。更先进的几何布局比平面器件更容易实现匹配,能够很好的控制晶体管特性。结果是,您可以开发性能更好的电路。而且,还有其他的令人惊奇的地方。例如,输出电流较小,因此,您开发的数据转换器会更小。”

但是也有挑战。Nandra说,gm和gd较低,而栅极泄漏较大,栅极电容要比同样尺寸的平面器件大两倍。正如Soenen所指出的,大家都知道的一点是,FinFET栅极宽度是量化的:圆晶上的每一个晶体管都有相同的标称栅极宽度。因此,习惯于对每一晶体管采用w值的模拟设计人员只能并行采用一组同样的FinFET——实际上,w作为电路参数可以是连续变量,直至一组正整数。

布板问题

通过采用多个最小宽度晶体管来替代宽度可调晶体管,量化会改变布板习惯。Nanda说,例如,Synopsys有一款工具将栅极宽度比例转换为所需的翅片数。但是在另一讨论组的研讨中,Cadence硅片流程副总裁Anirudh Devgan提出了更严重的布板问题。

Devgan说:“采用更先进的几何布局后,多模式会更加复杂。随着复杂度的提高,很难预测设计规则错误。错误与环境相关。”

有些规则是熟悉的:例如,减小耦合的间隔规则,平板印刷的形状规则等。双模式增加了颜色规则,以保证最精细的模式能够分成两个独立的掩膜。还有相对较新的布板相关效应,Devgan指出了其中的六个——包括非常接近和多间隔等,这对晶体管行为有很大的影响。为说明问题的严重性,Devgan指出,在20 nm已经有5,000条布板规则需要进行检查。

对于模拟设计人员和数字单元库开发人员,这么复杂的结果是,几乎不可能开发DRC结构干净的布板。由于提取和DRC带来的布板问题,设计人员必须预测多次迭代。Devgan提醒说:“这需要几个星期的时间。40%的设计时间都花在收敛上。”

建模挑战

除了晶体管行为上的这些不同之外,电路设计人员在FinFET上还遇到了其他一些问题:仿真模型在结构上与平面MOSFET不同,会更复杂(图2)。Trihy提醒说,“如果您看一下模型,杂散电容的数量增加了十倍。还不清楚桌面驱动的仿真器能否处理FinFET。”

即使是如此复杂,也并不是所有模型在所有条件下都正确。因此,对于不熟悉平面晶体管的用户,模型选择会与电路相关,可能也会与布板相关。Herrin同意,“有不同点,您必须知道模型的局限性。”

Nandra说,Synopsys一直结合使用SPICE和TCAD工艺模型,以及BSIM-4公共多栅极模型,以实现FinFET电路的精确仿真。他说,即使是在亚阈值区,BSIM-4也的确实现了精确的行为模型。但是,用在电路仿真时,模型会非常复杂。Nandra承认,“您必须采用结构相关的方法来解决杂散问题。”

Trihy继续这一主题。他问到,“器件模型会停在哪里,从哪里开始提取电路?采用FinFET电路,边界是模糊的。您可以依靠设计规则来限制交互,但是,最后,最重要的可能不是模型的精度,而是提取的精度。”Devgan在他一次发言中,提醒说,在某些情况下,可能需要现场解决问题,对复杂的紧密封装的3D结构进行精确的提取,FinFET电路会有这种结构。

新方法,新电路

晶体管行为、布板规则和建模方法出现了很大的变化,因此,适用于28 nm平面工艺的电路拓扑不太可能用在14 nm FinFET工艺上。量化会带来一些挑战。低电压、受限的gm以及大栅极电容会导致其他变化,包括,限制扇出,处理压缩动态范围等。Soenen提醒说, “这不是电源技术,但我们计划提供1.8 V FinFET。”Herrin解释说,例如,在嵌入式应用中,电压限制意味着完全不同的ESD电路,采用新方法来支持高电压I/O。

Soenen和Nandra同意这些变化带来的影响。Soenen预测说:“您会在模拟电路中看到很多数字辅助内容。会看到开关电容滤波器,更多的使用过采样技术。”

Nandra补充说,“我们看到了FinFET之前还没有的电路。”

芯片级

对于模拟电路和数字单元库设计人员,小尺寸FinFET既有优点又有缺点。优点是更小的电路,更高的工作频率,不用太担心工艺变化,当然还有更低的亚阈值泄漏。缺点是,设计会更困难,需要更多的迭代才能达到收敛。一般而言,无法重用前几代的设计。设计人员不得不建立新电路方法、拓扑和布板。新设计意味着更长的时间,更大的风险,速度、密度和功耗在晶体管级取得的进步可能因此而消失殆尽。

对于使用模块和单元库的芯片级设计人员,则完全不同。小尺寸FinFET仅在模块和单元中比较复杂。芯片设计人员通常注意到了更小更快的模块,这些模块的静态功耗会非常低。最后一点,与以前的产品相比,很多设计比较容易实现功耗管理。

但还是有问题。较低的工作电压使得信号和电源完整性分析更加重要。对于综合逻辑,较低的扇出使得时序收敛变得复杂。模块级更困难的收敛意味着在最终集成阶段要非常小心,不要打破任何东西。但这都是非常熟悉的问题,每一新工艺代都有这些问题。这当然不受欢迎。

延伸FinFET工艺

在2014年英特尔预计将推出基于14nm工艺的第二代FinFET技术。同样在今年,格罗方德,台积电和三星也分别有计划推出他们的14nm级的第一代FinFET技术。

intel公司也正分别开发10nm的FinFET技术,然而现在的问题是产业如何延伸FinFET工艺?对于FinFET技术,IMEC的工艺技术高级副总裁,An Steegen说,在10nm到7nm节点时栅极已经丧失沟道的控制能力。Steegen说,理想的方案是我们可以把一个单一的FinFET最大限度地降到宽度为5nm和栅极长度为10nm。

所以到7nm时,业界必须考虑一种新的技术选择。根据不同产品的路线图及行业高管的见解,主要方法是采用高迁移率或者III-V族的FinFET结构。应用材料公司蚀刻技术部的副总裁Bradley Howard说,从目前的态势,在7nm节点时III-V族沟道材料可能会插入。

在今天的硅基的FinFET结构中在7nm时电子迁移率会退化。由于锗(Ge)和III-V元素材料具有较高的电子传输能力,允许更快的开关速度。据专家说,第一个III-V族的FinFET结构可能由在pFET中的Ge组成。然后,下一代的III-V族的FinFET可能由锗构成pFET或者铟镓砷化物(InGaAs)组成NFET。

高迁移率的FinFET也面临一些挑战,包括需要具有集成不同的材料和结构的能力。为了帮助解决部分问题,行业正在开发一种硅鳍的替换工艺。这取决于你的目标,但是III-V族的FinFET将最有可能用来替代鳍的技术,Howard说。基本上,你做的是替代鳍。你要把硅鳍的周围用氧化物包围起来。这样基本上是把硅空出来用III-V族元素来替代。

什么是环栅结构

在7nm以下,FinFET的结构变得有点冒险Howard说。未来有潜力的器件中会采用环栅结构,使我们有可能在7nm以下节点时再延伸几代。

然后,到5nm时,产业可能延伸采用高迁移率的FinFET。另一种选择是建立一个量子阱的FinFET器件。但是在许多场合可能是下一代的III-V族的FinFET。Howard说在量子阱的FinFET中,组成器件的一个阱把载流子限制在内。从学术的角度来看量子阱是十分有趣的。

根据IBM的说法,由于在FinFET中鳍的宽度才5nm,沟道宽度的变化可能会导致不良的VT的变化和迁移率损失。一个有前途的选择,采用环栅的FET可以规避此问题。环栅FET是一种多栅的结构,其中栅极是放置在一个沟道的四周。基本上是一个硅纳米线被栅极包围 。这就是你的晶体管,它看起来不同,但实际上仍是有一个源,一个漏和一个栅极。

格罗方德的高级技术会员 An Chen说 采用环栅结构有一些优点和缺点,但是我认为很有前途。虽然栅极的四周有更好的静电场,但是也有一些制造工艺的问题。

环栅FET工艺制造困难,以及昂贵。它的复杂性有一例,IBM最近描述了一个用硅纳米线环栅的MOSFET,它实现了约30nm的纳米线间距和缩小的栅极间距为60nm。这个器件有一个有效的12.8nm纳米线。

在IBM的环栅极制造工艺中,两个landing pads(着陆垫)形成于基板。纳米线的形成和水平方向悬浮在着陆垫上。然后,图案化的垂直栅极在悬浮的纳米线上。这样的工艺使多个栅极构成在共同的悬浮区上。

根据IBM说,形成间隔后,然后在栅极的以外区域切断硅纳米线,再在间隔的边缘在原位进行掺杂的硅外延生长,在间隔边缘的硅纳米线其横截面就显出来。最后用传统的自对准镍基硅化物作接触和铜互连完成器件的制作。

环栅结构也有其他的作法。例如,新加坡国立大学,Soitec和法国LETI最近描述一个Ge的环栅纳米线pFET。宽度为3.5nm纳米线,该器件还与相变材料Ge2Sb2Te5(GST)集成一体,作为一个线性的stressor,从而提高它的迁移率。

与此同时,英特尔正在作不同的环栅结构。Intel的Mayberry说,直径约6nm,我们可以做得更小些。它是由许多不同的材料作成,采用原子层精密生长在一个3D空间中。所以相当困难进行量产。这是一个尚未解决的问题,我们正在研究。

当今,英特尔在FinFET制程上仍属于佼佼者,其他半导体厂商正尝试开发3D FinFET与英特尔抗衡。IBM同样在FinFET制程上表现突出,这也是英特尔的主要竞争对手。

IBM有可能在今年底宣布开始投入14nm制程,得益于三星全球工厂的FinFET技术。

IBM还会透露其高密度的存储器、可扩展的功率分配以及600平方厘米的SoC。但是现在不确定的是这些技术是否依赖于三星。

从另一个角度来说,这一波竞争会直接给英特尔和IBM的客户带来利益。英特尔正努力开发其最新的FinFET设计,而IBM的两大FinFET技术选择可能更容易吸引用户。

显然,英特尔与IBM最大的战略区别是:英特尔还是一贯性的使用传统块状硅来支持其努力FinFET设计,这也可以使其在2-4年内在竞争对手中保持领先。从2011年开始,英特尔已经在22nm Ivy Bridge技术和Haswell微架构处理器中使用FinFET制程。最近英特尔还宣布其成功移植FinFET技术到14nm Broadwell微架构,这是最新的Core M处理器系列的核心。。

然而,IBM使用更昂贵的SOI基板来进行开发,这样可以简化制造过程,而且可以实现芯片的低功耗性能。

有消息称,英特尔还将揭秘其加工“秘方”,包括参杂技术。

总结

最后,对于将使用基于FinFET的SoC系统设计人员而言,这有什么含义?通过我们在这里的分析,并考虑到Intel 20 nm三栅极SoC在业界的应用经验,得出了相同的结论。

设计链上每一个连续步骤——从晶体管到单元或者电路,从电路到功能模块,从模块到芯片,从芯片到系统,趋势是发挥FinFET的优势,克服挑战。芯片设计人员获得了更快、泄漏更低的库,不需要知道单元设计人员是怎样开发它们的。

相似的,系统设计人员会看到组件密度更大的芯片——取决于结构,金属或者聚乙烯间隔、接触间隔或者栅极长度等工艺减小了面积,这提高了性能,有效的降低了泄漏电流。可能还有一些二阶效应。例如,显著降低的内核电压会对电压稳压器提出新要求,要求降低噪声,有很好的瞬变响应。某些SoC可能不支持传统的高电压I/O。

总之,对于系统开发人员,FinFET革命却如所言:密度、速度和功耗都有巨大变化。还有更有趣的一点。对电路设计人员——特别是模拟设计人员提出要求,放弃熟悉的电路,FinFET在电路级带动了关键的各类创新。新电路将带动某些SoC在新的开放应用领域大放异彩。

本篇文章标签: 教程 芯片 知识 FinFET
发表评论